other

Ceramic PCB Board

  • 2021-10-20 11:34:52 dr hab

Ceramic circuit boards yog ua los ntawm cov khoom siv hluav taws xob ceramic thiab tuaj yeem ua rau ntau yam duab.Ntawm lawv, lub rooj tsav xwm ceramic muaj cov yam ntxwv zoo tshaj plaws ntawm qhov kub thiab txias thiab hluav taws xob rwb thaiv tsev.Nws muaj qhov zoo ntawm cov dielectric tsis tu ncua, tsis tshua muaj dielectric poob, siab thermal conductivity, zoo tshuaj stability, thiab zoo xws li thermal expansion coefficients ntawm cov khoom.Ceramic printed circuit boards yog tsim los siv laser ceev ua kom metallization tshuab LAM tshuab.Siv nyob rau hauv LED teb, high-power semiconductor modules, semiconductor txias, hluav taws xob cua sov, hluav taws xob tswj circuits, fais fab hybrid circuits, ntse hwj chim Cheebtsam, high-frequency switching fais fab mov, khoom lub xeev relays, automotive electronics, kev sib txuas lus, aerospace thiab tub rog electronics. cov khoom.


Txawv ntawm cov tsoos tsho FR-4 (iav fiber ntau) , cov ntaub ntawv ceramic muaj qhov ua tau zoo ntawm kev ua haujlwm siab thiab cov khoom siv hluav taws xob, nrog rau cov thermal conductivity, tshuaj stability thiab thermal stability.Cov ntaub ntawv ntim khoom zoo tshaj plaws rau kev tsim cov khoom siv hluav taws xob loj thiab cov khoom siv hluav taws xob hluav taws xob.

Lub ntsiab zoo:
1. Cov thermal conductivity ntau dua
2. Ntau dua thermal expansion coefficient
3. Ib qho nyuaj, qis tsis kam hlau zaj duab xis alumina ceramic circuit board
4. Lub solderability ntawm lub hauv paus cov ntaub ntawv yog zoo, thiab siv kub yog siab.
5. Kev rwb thaiv tsev zoo
6. Tsawg zaus poob
7. Sib sau ua ke nrog kev ceev ceev
8. Nws tsis muaj cov khoom xyaw organic, tiv taus cosmic rays, muaj kev ntseeg siab hauv aerospace thiab aerospace, thiab muaj lub neej ua haujlwm ntev.
9. Cov txheej tooj liab tsis muaj txheej txheej oxide thiab tuaj yeem siv tau ntev hauv qhov chaw txo qis.

Technical zoo




Taw qhia rau kev tsim cov txheej txheem ntawm ceramic luam tawm Circuit Board technology-qhov punching

Nrog rau kev tsim cov khoom siv hluav taws xob muaj zog hauv cov kev taw qhia ntawm miniaturization thiab high-speed, tsoos FR-4, aluminium substrate thiab lwm yam ntaub ntawv substrate tsis haum rau kev loj hlob ntawm high-power thiab high-power.

Nrog rau kev nce qib ntawm kev tshawb fawb thiab thev naus laus zis, kev siv ntse ntawm PCB kev lag luam.Cov LTCC thiab DBC technologies yog ib txwm hloov los ntawm DPC thiab LAM technologies.Lub tshuab laser uas sawv cev los ntawm LAM thev naus laus zis yog ntau dua nyob rau hauv txoj kab nrog kev txhim kho ntawm kev sib txuas ntawm kev sib txuas siab thiab kev ua kom zoo ntawm cov ntawv luam tawm.Laser drilling yog lub hauv ntej-kawg thiab mainstream drilling tshuab hauv PCB kev lag luam.Cov cuab yeej siv tau zoo, ceev ceev, raug, thiab muaj txiaj ntsig zoo ntawm daim ntawv thov.


RayMingceramic Circuit Court Board yog tsim nrog laser ceev ua kom metallization tshuab.Lub zog sib txuas ntawm cov hlau txheej thiab cov ceramic yog siab, cov khoom siv hluav taws xob zoo, thiab cov vuam tuaj yeem rov ua dua.Lub thickness ntawm cov hlau txheej tuaj yeem hloov kho hauv qhov ntau ntawm 1μm-1mm, uas tuaj yeem ua tiav L / S daws teeb meem.20μm, tuaj yeem txuas ncaj qha los muab cov kev daws teeb meem rau cov neeg siv khoom

Lateral excitation ntawm atmospheric CO2 laser yog tsim los ntawm lub tuam txhab Canadian.Piv nrog rau cov tsoos lasers, lub zog tso zis yog siab li ib puas mus rau ib txhiab zaus, thiab nws yog ib qho yooj yim los tsim.

Nyob rau hauv electromagnetic spectrum, lub xov tooj cua zaus yog nyob rau hauv ntau zaus ntawm 105-109 Hz.Nrog rau txoj kev loj hlob ntawm tub rog thiab aerospace technology, qhov thib ob zaus yog emission.Tsawg thiab nruab nrab lub zog RF CO2 lasers muaj kev ua haujlwm zoo heev, lub zog ruaj khov thiab kev ua haujlwm siab.Nta xws li lub neej ntev.UV khoom YAG yog siv dav hauv cov yas thiab hlau hauv kev lag luam microelectronics.Txawm hais tias cov txheej txheem CO2 laser drilling yog qhov nyuaj dua, cov nyhuv ntawm micro-aperture yog qhov zoo dua li ntawm UV khoom YAG, tab sis CO2 laser muaj qhov zoo ntawm kev ua haujlwm siab thiab kev kub ceev punching.Kev lag luam sib koom ntawm PCB laser micro-qhov kev ua haujlwm tuaj yeem yog kev tsim khoom hauv tsev laser micro-qhov tseem tab tom tsim nyob rau theem no, tsis muaj ntau lub tuam txhab tuaj yeem muab tso rau hauv ntau lawm.

Lub teb chaws laser microvia manufacturing yog tseem nyob rau hauv txoj kev loj hlob theem.Luv mem tes thiab high penter fais fab fais fab siv los tho qhov hauv PCB substrates kom ua tiav lub zog siab, cov khoom raug tshem tawm thiab micro-qhov tsim.Ablation yog muab faib ua photothermal ablation thiab photochemical ablation.Photothermal ablation yog hais txog kev ua tiav ntawm lub qhov tsim txheej txheem los ntawm kev nqus sai ntawm lub zog laser lub teeb los ntawm cov khoom siv substrate.Photochemical ablation yog hais txog kev sib xyaw ua ke ntawm lub zog photon siab hauv cheeb tsam ultraviolet tshaj 2 eV electron volts thiab laser wavelength tshaj 400 nm.Cov txheej txheem tsim khoom tuaj yeem rhuav tshem cov kab hluav taws xob ntev ntev ntawm cov khoom siv organic kom tsim cov khoom me me, thiab cov khoom tuaj yeem tsim cov micropores sai sai nyob rau hauv kev ua ntawm sab nraud quab yuam.


Niaj hnub no, Tuam Tshoj lub laser drilling tshuab muaj qee yam kev paub thiab kev ua haujlwm thev naus laus zis.Piv nrog rau cov khoom siv niaj hnub stamping, laser drilling tshuab muaj kev ua haujlwm siab, kev kub ceev, kev ua haujlwm siab, loj-scale batch xuas nrig ntaus, haum rau feem ntau cov ntaub ntawv mos thiab tawv, tsis muaj cov cuab yeej poob, thiab pov tseg tiam.Qhov zoo ntawm cov khoom siv tsawg, kev tiv thaiv ib puag ncig thiab tsis muaj kuab paug.


Lub rooj tsav xwm ceramic yog los ntawm cov txheej txheem laser drilling, lub zog sib txuas ntawm cov ceramic thiab cov hlau yog siab, tsis poob tawm, foaming, thiab lwm yam, thiab cov nyhuv ntawm kev loj hlob ua ke, siab nto flatness, roughness ratio ntawm 0.1 micron rau 0.3 micron, laser ntaus lub qhov taub Los ntawm 0.15 hli mus rau 0.5 hli, los yog txawm 0.06 hli.


Ceramic Circuit Board manufacturing-etching

Cov ntawv ci tooj liab uas tseem tshuav nyob rau txheej txheej ntawm lub rooj tsav xwm hauv Circuit Court, uas yog, cov qauv hauv Circuit Court, yog pre-plated nrog ib txheej ntawm txhuas-tin tiv thaiv, thiab tom qab ntawd cov tsis muaj kev tiv thaiv tsis yog tus neeg xyuas pib ntawm cov tooj liab yog tshuaj etched los ua ib qho Circuit Court.

Raws li cov txheej txheem sib txawv, etching muab faib ua txheej txheej etching thiab txheej txheej etching.Sab hauv txheej etching yog acid etching, ntub zaj duab xis los yog qhuav zaj duab xis m yog siv los tiv thaiv;txheej txheej etching yog alkaline etching, thiab tin-lead yog siv los tiv thaiv.Tus neeg sawv cev.

Lub hauv paus ntsiab lus ntawm etching cov tshuaj tiv thaiv

1. Alkalization ntawm acid tooj liab chloride


1, Acid tooj liab chloride alkalization

Nphav: Ib feem ntawm cov zaj duab xis qhuav uas tsis tau irradiated los ntawm ultraviolet rays yog yaj los ntawm qaug zog alkaline sodium carbonate, thiab irradiated ib feem.

Etching: Raws li ib qho kev faib ua feem ntawm cov tshuaj, cov tooj liab nto raug los ntawm dissolving zaj duab xis qhuav los yog zaj duab xis ntub dej yog yaj thiab etched los ntawm cov kua qaub tooj liab chloride etching tov.

Fading zaj duab xis: Cov yeeb yaj kiab tiv thaiv ntawm cov kab ntau lawm dissolves ntawm ib qho kev faib ua feem ntawm qhov tshwj xeeb kub thiab ceev.

Acidic tooj liab chloride catalyst muaj cov yam ntxwv ntawm kev tswj tau yooj yim ntawm etching ceev, siab tooj liab etching efficiency, zoo zoo, thiab yooj yim rov qab etching tov.

2. Alkaline etching



Alkaline etching

Fading zaj duab xis: Siv cov kua meringue tshem tawm cov yeeb yaj kiab los ntawm cov yeeb yaj kiab saum npoo, nthuav tawm cov tooj liab uas tsis tau ua tiav.

Etching: Cov txheej hauv qab uas tsis xav tau yog etched nrog ib qho etchant kom tshem tawm cov tooj liab, tawm hauv cov kab tuab.Ntawm lawv, cov cuab yeej pabcuam yuav raug siv.Lub accelerator yog siv los txhawb cov tshuaj tiv thaiv oxidation thiab tiv thaiv cov nag lossis daus ntawm cuprous ions;cov tshuaj tua kab yog siv los txo qhov kev yaig sab;tus inhibitor yog siv los inhibit qhov dispersion ntawm ammonia, nag lossis daus ntawm tooj liab, thiab ceev cov oxidation ntawm tooj liab.

Tshiab emulsion: Siv cov dej monohydrate ammonia tsis muaj tooj liab ions tshem tawm cov seem ntawm lub phaj nrog ammonium chloride tov.

Lub qhov puv: Cov txheej txheem no tsuas yog tsim rau cov txheej txheem immersion kub.Feem ntau tshem tawm cov palladium ions ntau dhau nyob rau hauv cov uas tsis yog-plated los ntawm qhov los tiv thaiv cov kub ions los ntawm dab dej hauv cov txheej txheem nag lossis daus kub.

Tin peeling: Cov txheej tin-lead raug tshem tawm siv cov tshuaj nitric acid.



Plaub qhov cuam tshuam ntawm etching

1. Pas dej ua ke
Thaum lub sij hawm tsim cov txheej txheem etching, cov kua yuav tsim cov yeeb yaj kiab dej ntawm lub rooj tsavxwm vim yog lub ntiajteb txawj nqus, yog li tiv thaiv cov kua tshiab los ntawm kev sib cuag ntawm tooj liab.




2. Groove nyhuv
Qhov adhesion ntawm cov tshuaj siv tshuaj ua rau cov tshuaj lom neeg ua raws li qhov sib txawv ntawm cov kav dej thiab cov kav dej, uas yuav ua rau muaj qhov sib txawv ntawm qhov sib txawv ntawm qhov chaw ntom ntom, thiab qhov chaw qhib.




3. Cov nyhuv dhau
Cov tshuaj ua kua ntws mus rau hauv lub qhov, uas ua rau kom rov ua dua tshiab ntawm cov kua tshuaj nyob ib ncig ntawm lub phaj qhov thaum lub sij hawm etching txheej txheem, thiab etching tus nqi nce.




4. Nozzle viav vias nyhuv
Cov kab sib npaug mus rau lub viav vias kev taw qhia ntawm lub nozzle, vim tias cov tshuaj ua kua tshiab tuaj yeem yooj yim dissipate cov kua tshuaj ntawm cov kab, cov tshuaj ua kua tau hloov kho sai, thiab qhov ntau ntawm etching yog loj;

Cov kab perpendicular mus rau lub viav vias kev taw qhia ntawm lub nozzle, vim hais tias cov kua tshuaj tshiab tsis yooj yim rau dissipate cov kua tshuaj ntawm cov kab, cov kua tshuaj yog refreshed ntawm ib tug qeeb qeeb, thiab cov etching tus nqi yog me me.




Tej teeb meem nyob rau hauv etching ntau lawm thiab txhim kho txoj kev

1. Cov yeeb yaj kiab tsis kawg
Vim tias qhov concentration ntawm syrup yog tsawg heev;linear tshaj tawm yog ceev heev;lub nozzle clogging thiab lwm yam teeb meem yuav ua rau cov zaj duab xis tsis muaj kawg.Yog li ntawd, nws yog ib qho tsim nyog los xyuas qhov concentration ntawm syrup thiab kho qhov concentration ntawm syrup mus rau qhov tsim nyog ntau yam;kho qhov ceev thiab tsis nyob rau hauv lub sij hawm;ces ntxuav lub nozzle.

2. Qhov saum npoo ntawm lub rooj tsavxwm yog oxidized
Vim tias syrup concentration siab dhau lawm thiab qhov kub thiab txias dhau lawm, nws yuav ua rau saum npoo ntawm lub rooj tsavxwm oxidize.Yog li ntawd, nws yog ib qhov tsim nyog los kho qhov concentration thiab kub ntawm lub syrup nyob rau hauv lub sij hawm.

3. Thetecopper tsis tiav
Vim lub etching ceev ceev heev;muaj pes tsawg leeg ntawm syrup yog biased;tooj liab nto yog kab mob;lub nozzle yog thaiv;qhov kub thiab txias yog qhov tsawg thiab cov tooj liab tsis tiav.Yog li ntawd, nws yog ib qho tsim nyog los kho qhov kev sib kis ceev ceev;rov xyuas qhov muaj pes tsawg leeg ntawm syrup;ceev faj ntawm cov kab mob tooj liab;ntxuav lub nozzle kom tsis txhob txhaws;kho qhov kub thiab txias.

4. Lub etching tooj liab siab dhau
Vim tias lub tshuab khiav qeeb dhau, qhov kub thiab txias dhau, thiab lwm yam, nws tuaj yeem ua rau tooj liab corrosion ntau dhau.Yog li ntawd, kev ntsuas xws li kho lub tshuab ceev thiab kho qhov kub thiab txias yuav tsum tau ua.



Copyright © 2023 ABIS CIRCUITS CO., LTD.All Rights Reserved. Lub zog los ntawm

Txhawb IPv6 network

saum

Tso Ib Lus

Tso Ib Lus

    Yog tias koj txaus siab rau peb cov khoom thiab xav paub ntau ntxiv, thov sau cov lus ntawm no, peb yuav teb koj sai li sai tau.

  • #
  • #
  • #
  • #
    Refresh daim duab